You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
tqscintilla/doc/en/classQextScintillaLexerMake...

76 lines
15 KiB

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html><head><meta http-equiv="Content-Type" content="text/html;charset=iso-8859-1">
<title>TQScintilla: Member List</title>
<link href="doxygen.css" rel="stylesheet" type="text/css">
<link href="tabs.css" rel="stylesheet" type="text/css">
</head><body>
<!-- Generated by Doxygen 1.4.7 -->
<div class="tabs">
<ul>
<li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
<li id="current"><a href="annotated.html"><span>Classes</span></a></li>
<li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
</ul></div>
<div class="tabs">
<ul>
<li><a href="annotated.html"><span>Class&nbsp;List</span></a></li>
<li><a href="hierarchy.html"><span>Class&nbsp;Hierarchy</span></a></li>
<li><a href="functions.html"><span>Class&nbsp;Members</span></a></li>
</ul></div>
<h1>QextScintillaLexerMakefile Member List</h1>This is the complete list of members for <a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a>, including all inherited members.<p><table>
<tr bgcolor="#f0f0f0"><td><b>autoCompletionFillups</b>() const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>autoCompletionStartCharacters</b>() const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#3571bc3e7920261544bae8c0103fbea3">autoIndentStyle</a>()</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td></td></tr>
<tr bgcolor="#f0f0f0"><td><b>blockEnd</b>(int *style=0) const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>blockLookback</b>() const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>blockStart</b>(int *style=0) const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>blockStartKeyword</b>(int *style=0) const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>braceStyle</b>() const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#5b02a2a7e5a80d2d2cc81f2036ca681c">color</a>(int style) const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#96b4b017b291dcf90e42fe231662b261">colorChanged</a>(const TQColor &amp;c, int style)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [signal]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305b846000b8e546d01a058ac7c7ea0cec50">Comment</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305b720e68d37fcef6406c60ed6052259339">Default</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#be59d049a8c22b175e0d56d50db238b1">defaultColor</a>() const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#cb8b3992f2a2315188eaac2219c8f8b3">defaultFont</a>() const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#66e4109babde21bee78eaf9e228f5e72">defaultPaper</a>() const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr bgcolor="#f0f0f0"><td><b>defaultStyle</b>() const (defined in <a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a>)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#4c478d2964f8accc12a4b4c9279e02e6">description</a>(int style) const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#387e4dcdf8e641b71a7549f2e0f68922">eolFill</a>(int style) const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#a4dfddbecbb7fc50716f26bd20f810fe">eolFillChanged</a>(bool eoffilled, int style)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [signal]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305b3d4063ddbcfa91c6de4096c12a30103b">Error</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#238697bc15872bc4a9e8ded40334ae54">font</a>(int style) const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#529039eee09430949416bf8da7d5065e">fontChanged</a>(const TQFont &amp;f, int style)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [signal]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#00cc06fe8bce85318fd08890f5f614e4">keywords</a>(int set) const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#c83c70da46c2b7a1c01e2856eb29b92e">language</a>() const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#3200a99b7847698fd0026462c4072f63">lexer</a>() const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305b26ae31d04239b0104b20a6f9a0d8a672">Operator</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#79ab0f476fe0822d2d4979ea7d882165">paper</a>(int style) const </td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#234edeaf7387dfa3afd81f6e459cbb17">paperChanged</a>(const TQColor &amp;c, int style)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [signal]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305b09ea5936c88f92b968d58e6497f406dd">Preprocessor</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#f320f847889bb054befdb43d0739b5cf">propertyChanged</a>(const char *prop, const char *val)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [signal]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#635c431e2c58e1864e495b2e5f69bd5e">QextScintillaLexer</a>(TQObject *parent=0, const char *name=0)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#7817578c09777eec21970da119eea11c">QextScintillaLexerMakefile</a>(TQObject *parent=0, const char *name=0)</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#5c0c72a54932c47687584e2cde90574a">readProperties</a>(TQSettings &amp;qs, const TQString &amp;prefix)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [protected, virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#3dc542b9bcbbdb7a0cbb303214ae7f51">readSettings</a>(TQSettings &amp;qs, const char *prefix=&quot;/Scintilla&quot;)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#8c606f8763ced32f56d4a72d089a50ef">refreshProperties</a>()</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#fca720f057784ddebc44d12e5899bc2e">setAutoIndentStyle</a>(int autoindentstyle)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#93346e5eacd89a1a9ec220e0cc61dde6">setColor</a>(const TQColor &amp;c, int style=-1)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#bbc5f85a51dbaaeab47371e90b716541">setDefaultColor</a>(const TQColor &amp;c)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#f14f40f03e36204ff11c3d40c8e56c44">setDefaultFont</a>(const TQFont &amp;f)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#66802da668e422f81f36a63222e5b63a">setDefaultPaper</a>(const TQColor &amp;c)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#8838e34f3504e7660a68d02533ec991f">setEolFill</a>(bool eoffill, int style=-1)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#0255bcde4770fa0f41eeb65a306ceb56">setFont</a>(const TQFont &amp;f, int style=-1)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#4d8bcbbb950152307c4ef5daf9d4e607">setPaper</a>(const TQColor &amp;c, int style=-1)</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual, slot]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305be9e18b8db7aed3607eb3dc1d64efd425">Target</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#152b0cb529e142f85dd840a1ce99305be9f9fd591795b5ae83386a804c9aaafc">Variable</a> enum value</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td></td></tr>
<tr bgcolor="#f0f0f0"><td><b>wordCharacters</b>() const (defined in <a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a>)</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#69d35dbbba2530a185de901e9fa12a18">writeProperties</a>(TQSettings &amp;qs, const TQString &amp;prefix) const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [protected, virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#489a8e9528498cab6c5fd999c004229c">writeSettings</a>(TQSettings &amp;qs, const char *prefix=&quot;/Scintilla&quot;) const </td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexer.html#40573922e7a23fe7dce56892f42b229a">~QextScintillaLexer</a>()</td><td><a class="el" href="classQextScintillaLexer.html">QextScintillaLexer</a></td><td><code> [virtual]</code></td></tr>
<tr class="memlist"><td><a class="el" href="classQextScintillaLexerMakefile.html#be9f4464a0d428ea3c9f7cae65b719e8">~QextScintillaLexerMakefile</a>()</td><td><a class="el" href="classQextScintillaLexerMakefile.html">QextScintillaLexerMakefile</a></td><td><code> [virtual]</code></td></tr>
</table><hr size="1"><address style="align: right;"><small>Generated on Thu Nov 30 09:32:31 2006 for TQScintilla by&nbsp;
<a href="http://www.doxygen.org/index.html">
<img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.7 </small></address>
</body>
</html>